Publications

Benjamin Bunday, A. F. Bello, Eric Solecky and Alok Vaid, “7/5nm logic manufacturing capabilities and requirements of metrology”, Proc. SPIE 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 105850I (22 March 2018); doi: 10.1117/12.2296679

Chris A. Mack and Ben Bunday. “CD-SEM algorithm optimization for line roughness metrology”, Proc. SPIE 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 105850G (19 March 2018); doi: 10.1117/12.2297426

Ndubuisi George Orji, Bryan Barnes, Andras Vladar, Benjamin Bunday, Joe Kline, Yaw Obeng, Mustafa Badaroglu, Umberto Celano, Mark Neisser and Carlos Beitia. “Metrology for Nanometre Scale Devices”, Nature Electronics, pending peer review (2018).

Bunday, B. “Metrology Capabilities and Requirements for 7/5 nm Logic Manufacturing”. Invited presentation at Metrology Inspection (MI) Forum, Semicon Korea, February, 2018.

Neda Foroozani, Chris Hobbs, Chih Hung, Stephen Olson, Dominic Ashworth, Eric Holland, Matthew Malloy, Patrick Kearney, Brendan O'Brien, Benjamin Bunday, Dominic DiPaola, William Advocate, Thomas Murray, Paul Hansen, Steven Novak, Stephen Bennett, Martin Rodgers, Brett Baker-O'Neal, Brian Sapp, Edward Barth, Jeffrey Hedrick, Ronald Goldblatt, Satyavolu Papa Rao, and Kevin Osborn. “Low-variability Josephson junctions in superconducting qubits enabled by 193 nm lithography on 300 mm wafers". Applied Physics Letters, pending peer review (2018).

Maseeh Mukhtar, Bradley Thiel & Benjamin Bunday. “Backscattered electron simulations to evaluate sensitivity against electron dosage of buried semiconductor features”, Proc. SPIE. 10585, Metrology, Inspection, and Process Control for Microlithography XXXII (2018).

R. Joseph Kline, Daniel Sunday, Donald Windover & Benjamin Bunday. “X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices”, J. Micro/Nanolith. MEMS MOEMS 16(1) 014001 doi: 10.1117/1.JMM.16.1.014001, Published in: Journal of Micro/Nanolithography, MEMS, and MOEMS Volume 16, Issue 1

Eric Solecky, Allen Rasafar, Jason Cantone, Benjamin Bunday, Alok Vaid, Oliver Patterson, Andrew Stamper, Kevin Wu, Ralf Buengener, Weihao Weng & Xintuo Dai. “In-line E-beam metrology and defect inspection: industry reflections, hybrid E-beam opportunities, recommendations and predictions”, Proceedings Volume 10145, Metrology, Inspection, and Process Control for Microlithography XXXI; 101450R (2017) https://doi.org/10.1117/12.2261524

Thiel, B., Mukhtar, M., Quoi, K., Bunday, B., & Malloy, M. (2016). "Patterned Wafer Inspection with Multi-beam SEM Technology." Microscopy and Microanalysis, 22(S3), 586-587. doi:10.1017/S1431927616003780

Ndubuisi G. Orji, Ronald Dixson, Domingo Garcia-Gutierrez, Benjamin Bunday, Michael Bishop, Michael Cresswell, Richard Allen & John A. Allgair. “Transmission electron microscope calibration methods for critical dimension standards”, J. of Micro/Nanolithography, MEMS, and MOEMS, 15(4), 044002 (2016). https://doi.org/10.1117/1.JMM.15.4.044002

Bunday, Benjamin. “High Volume Manufacturing Metrology Needs towards the 5 nm Node” Semicon Korea Metrology/ Inspection Forum, 2016. Presentation available at http://www.semiconkorea.org/en/mi-forum.

Bunday, Benjamin. “Metrology Capabilities & Needs for 7 nm & 5 nm Logic Nodes”, 3D Nanomanufacturing Forum at TNO, Delft, The Netherlands, 29 November 2016. Also 2016 Lithography Workshop, Nov 6-10, 2016, Hapuna Resort in Kamuela, Hawaii. Presentation available at http://www.lithoworkshop.org.

Maseeh Mukhtar, Benjamin Bunday, Kathy Quoi, Matt Malloy & Brad Thiel. “Measuring multielectron beam imaging fidelity with a signal-to-noise ratio analysis”, J. Micro/Nanolith. MEMS MOEMS 15(3) 034004 doi: 10.1117/1.JMM.15.3.034004, Published in: Journal of Micro/Nanolithography, MEMS, and MOEMS Volume 15, Issue 3.

Attota RK, Weck P, Kramar JA, Bunday B, Vartanian V. “Feasibility study on 3-D shape analysis of high-aspect-ratio features using through-focus scanning optical microscopy”, Optics Express. 2016 Jul 25;24(15):16574-85. doi: 10.1364/OE.24.016574 (2016).

Chris A. Mack and Benjamin D. Bunday, “Using the analytical linescan model for SEM metrology”, Proc. SPIE 10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 101451R (28 March 2017); doi: 10.1117/12.2258631

Benjamin Bunday, Eric Solecky, Alok Vaid, and A. F. Bello. “Metrology capabilities and needs for 7nm and 5nm logic nodes”, Proc. SPIE 10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 101450G (28 March 2017); doi: 10.1117/12.2260870

Benjamin Bunday. “HVM metrology challenges toward the 5nm node”. Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97780E (2016); doi: 10.1117/12.2218375

Chris A. Mack and Benjamin D. Bunday, "Improvements to the analytical linescan model for SEM metrology", Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97780A (2016); doi: 10.1117/12.2218443

Andrew D. L. Humphris, Bin Zhao, David Bastard, and Benjamin Bunday. “Probe microscopy for metrology of next-generation devices”. Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97782L (2016); doi: 10.1117/12.2219035

Benjamin Bunday. “HVM Metrology Needs towards the 5 nm Node”. Semicon West Emerging Technologies Forum, invited speaker, July, 2015.

Benjamin Bunday, Matt Malloy, Maseeh Mukhtar & Brad Thiel. “Enabling Future Generation High-Speed E-beam Defect Inspection”, Metrology & Inspection Forum, Semicon Korea 2016 (2016).

Benjamin Bunday & Richard Matyi. “X-Ray Metrology Needs”, OSA Workshop, Washington, DC, October 2014.

Benjamin Bunday & Richard Matyi. “Compact High Brightness X-ray Source Options and Metrology Application for the Semiconductor Industry.” SEMATECH white paper, September 2014.

Benjamin Bunday, Aron Cepler, Aaron Cordes, and Abraham Arceo, “CD-SEM metrology for sub-10 nm width features”, Metrology, Inspection, and Process Control for Microlithography XXVIII, Proc., SPIE Vol. 9050, 90500T (2014).

Benjamin D. Bunday and Chris A. Mack, “Influence of Metrology Error in Measurement of Line Edge Roughness Power Spectral Density”, Metrology, Inspection, and Process Control for Microlithography XXVIII, Proc., SPIE Vol. 9050, 90500G (2014).

K.D. Cummings, B. Bunday and M. Malloy. “Patterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool”. Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN) (“3-Beams” conference), May, 2015 (2015), Journal of Vacuum Science & Technology B 33, 06FD03 (2015); https://doi.org/10.1116/1.4934052

Chris A. Mack and Benjamin D. Bunday, "Analytical Linescan Model for SEM Metrology", Metrology, Inspection, and Process Control for Microlithography XXIX, Proc., SPIE Vol. 9424, p. 94240F (2015).

Tomasz Garbowski, Thomas Kemen, Matt Malloy, Brad Thiel, Ben Bunday, Richard Schalek, Jeff W. Lichtman, and Dirk Zeidler. “A single-column, multi-beam SEM for high-resolution, high-throughput imaging.” Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN), May, 2015 (2015), available online at http://eipbn.omnibooksonline.com/data/papers/2015/10C1.pdf

Matt Malloy, Benjamin Bunday, Stefan Wurm, Brad Thiel, Thomas Kemen, Dirk Zeidler, Anna Lena Eberle, Tomasz Garbowski, Gregor Dellemann, Jan Hendrik Peters . “Enabling Future Generation High-Speed Inspection Through a Massively Parallel E-beam Approach.” 2015 26th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), (2015). DOI: 10.1109/ASMC.2015.7164492, available online at https://ieeexplore.ieee.org/document/7164492/

Matt Malloy, Brad Thiel, Benjamin Bunday, Stefan Wurm, Vibhu Jindal, Maseeh Mukhtar, Kathy Quoi, Thomas Kemen, Dirk Zeidler, Anna Lena Eberle, Tomasz Garbowski, Gregor Dellemann & Jan Hendrik Peters. “Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection”, Proceedings Volume 9661, 31st European Mask and Lithography Conference; 96610O (2015) https://doi.org/10.1117/12.2196120, Event: 31st European Mask and Lithography Conference, 2015, Eindhoven, Netherlands

Bunday, B., Mukhtar, M., Quoi, K., Thiel, B., and Malloy, M. “Simulating Massively Parallel Electron Beam Inspection for sub-20 nm Defects”. Proceedings of SPIE Vol. 9424, 94240J (2015).

Gregor Dellemann, Thomas Kemen, Anna Lena Eberle, Tomasz Garbowski, Matt Malloy, Stefan Wurm, Benjamin D. Bunday, Brad Thiel, and Dirk Zeidler. “Advances In Multi-Beam SEM Technology For High Throughput Defect Inspection”. International Conference on Frontiers of Characterization and Metrology for Nanoelectronics (FCMN), April, 2015 (2015).

Matt Malloy, Brad Thiel, Benjamin D. Bunday, et al., "Massively parallel E-beam inspection: enabling next-generation patterned defect inspection for wafer and mask manufacturing", Proceedings of SPIE Vol. 9423, 942319 (2015).

Aaron Cordes, Benjamin D. Bunday, Cecilia A. Montgomery, et al., "Quantitative nanomechanical measurement of electron beam surface modification", Proceedings of SPIE Vol. 9424, 94242R (2015).

Wataru Ito, Benjamin D. Bunday, Sumito Harada, et al., "Novel three dimensional (3D) CD-SEM profile measurements", Proceedings of SPIE Vol. 9050, 90500D (2014).

Chandrasekhar Sarma, Benjamin D. Bunday, Aron J. Cepler, et al., "Novel metrology methods for fast 3D characterization of directed self-assembly (DSA) patterns for high volume manufacturing", Proceedings of SPIE Vol. 9050, 90500O (2014).

Charles M. Settens; Aaron Cordes; Benjamin D. Bunday; Abner F. Bello; Vimal K. Kamineni; Abhijeet Paul; Jody Fronheiser; Richard J. Matyi "Assessment of critical dimension small-angle x-ray scattering measurement approaches for FinFET fabrication process monitoring" Journal of Micro/Nanolithography, MEMS, and MOEMS 2014, 13 (4), 041408 doi: 10.1117/1.JMM.13.4.041408

Brad Thiel, Michael J. Lercel, Benjamin D. Bunday, et al., "Assessing the viability of multi-electron beam wafer inspection for sub-20nm defects", Proceedings of SPIE Vol. 9236, 92360E (2014).

B. Bunday, T. Germer, V. Vartanian, A Cordes, A. Cepler & C. Settens. “CD Metrology Gaps Analysis from the 22 nm Node Onwards”. NIST Frontiers, Gaithersburg, MD (2013). Presentation available at http://www.nist.gov/pml/div683/conference/upload/TH-20-2.pdf.

Cordes, B. Bunday, E. Stinzianni, H. Porter, S. Hand, & J. Osborne. “Development Towards a Sidewall Roughness Standard”. Proc. SPIE, v8681, 86812J (2013).

Eric Solecky, Oliver D. Patterson, Andrew Stamper, Erin McLellan, Ralf Buengener, Alok Vaid, Carsten Hartig, Benjamin Bunday, Abraham Arceo, & Aron Cepler. “In-line E-beam wafer metrology and defect inspection: the end of an era for imagebased critical dimensional metrology? New life for defect inspection”. Proc SPIE v8681, 86810D (2013).

B. Bunday, C. Montgomery, W. Montgomery & A. Cepler. “Photoresist shrinkage effects in 16 nm node EUV photoresist targets”. Proc SPIE v8681, 86810J (2013).

B. Bunday, T. Germer, V. Vartanian, A Cordes, A. Cepler & C. Settens. “Gaps Analysis for CD Metrology Beyond the 22 nm Node”, Proc. SPIE, v8681, pp 86813B (2013).

Charles Settens, Benjamin Bunday, Brad Thiel, R. Joseph Kline, Daniel Sunday, Chengqing Wang, Wen-li Wu, & Richard Matyi. “Critical dimension small angle X-ray scattering measurements of FinFET and 3D memory structures”. Proc SPIE, v8681, 86810L (2013).

Benjamin Bunday, Aaron Cordes, Carsten Hartig, John Allgair, Alok Vaid, Eric Solecky, Narender Rana. "Time-dependent electron-beam induced photoresist shrinkage effects". J. Micro/Nanolith. MEMS MOEMS. 11(2), 023007 (Jun 05, 2012). doi:10.1117/1.JMM.11.2.023007

Benjamin Bunday, Cecilia Montgomery, Warren Montgomery, and Aaron Cordes. “Static and dynamic photoresist shrinkage effects in EUV photoresists”. Metrology, Inspection, and Process Control for Microlithography XXVI. Proceedings of the SPIE, Volume 8324, pp. 83241E-83241E-16 (2012). 47. Aron Cepler, Benjamin Bunday, Bradley Thiel, John Villarrubia. “Scanning electron microscopy imaging of ultra-high aspect ratio hole features”. Metrology, Inspection, and Process Control for Microlithography XXVI. Proceedings of the SPIE, Volume 8324, pp. 83241N-83241N-14 (2012).

Abraham Arceo, Benjamin Bunday, Victor Vartanian, Ravikiran Attota, "Patterned defect and CD metrology by TSOM beyond the 22-nm node". Metrology, Inspection, and Process Control for Microlithography XXVI, Proceedings of SPIE Vol. 8324 (SPIE, Bellingham, WA 2012), 83240E.

Aaron Cordes, Benjamin Bunday, and Jim Nadeau. “Profile variation impact on FIB cross-section metrology.” Metrology, Inspection, and Process Control for Microlithography XXVI. Proceedings of the SPIE, Volume 8324, pp. 83240Y-83240Y-7 (2012).

Benjamin Bunday, Victor Vartanian, Abraham Arceo, and Aaron Cordes. "Evolution or Revolution: Defining the Path for Metrology Beyond the 22nm Node". Solid State technology, vol 55, issue 2, March 2012. Available online at http://www.electroiq.com/articles/sst/print/vol-55/issue-2/features/metrology/evolution-or-revolution.html

Benjamin Bunday. "Static and Dynamic Electron Beam-Induced Photoresist Shrinkage Phenomena". ISMI Symposium, Metrology session, Austin, TX, Oct 2011.

Victor Vartanian and Benjamin Bunday. "Metrology Challenges for Next Generation Device Structures." ISMI Symposium, Metrology session, Austin, TX, Oct 2011.

Cordes, A., Bunday, B., & Cottrell, E. “Sidewall Slope Sensitivity of CD-AFM”. Proc. SPIE Instrumentation, Metrology, and Standards for Nanomanufacturing, Optics, and Semiconductors V, Proc. SPIE 8105, 810506 (2011).

Ndubuisi G. Orji, Ronald G. Dixson, Aaron M. Cordes, Benjamin D. Bunday, and John A. Allgair, "Measurement traceability and quality assurance in a nanomanufacturing environment," J. Micro/Nanolith. MEMS MOEMS 10, 013006 (2011), DOI:10.1117/1.3549736

Benjamin Bunday, Aaron Cordes, Carsten Hartig, John Allgair, Alok Vaid, Eric Solecky, and Narender Rana. “Tool-to-tool matching issues due to photoresist shrinkage effects”. Metrology, Inspection, and Process Control for Microlithography XXV. Proc SPIE v7971, 79710B (2011).

Benjamin Bunday, Aaron Cordes, Andy Self, Lorena Ferry, and Alex Danilevsky. “Experimental validation of 2-D profile photoresist shrinkage model”. Metrology, Inspection, and Process Control for Microlithography XXV. J. Proceedings of the SPIE, Volume 7971, 79710W (2011).

Benjamin Bunday, Victor Vartanian, Liping Ren, George Huang, Cecilia Montgomery, Warren Montgomery, Alex Elia, and Xiaoping Liu. “Scatterometry for EUV lithography at the 22 nm node”. Metrology, Inspection, and Process Control for Microlithography XXV. J. Proceedings of the SPIE, Volume 7971, 797120 (2011).

Ravikiran Attota, Ronald G. Dixson, John A. Kramar, James E. Potzick, András E. Vladár, Benjamin Bunday, Erik Novak, and Andrew Rudack. “TSOM Method for Semiconductor Metrology”. Metrology, Inspection, and Process Control for Microlithography XXV. J. Proceedings of the SPIE, Volume 7971, 79710T (2011).

Priyanka Kohli, Jeff Lyons, Andrew D. L. Humphris, Benjamin D. Bunday, Abraham Arceo, Akira Hamaguchi, Dilip Patel, and David Bakker. “High Speed Atmospheric Imaging of Semiconductor Wafers using Rapid Probe Microscopy”. Metrology, Inspection, and Process Control for Microlithography XXV. J. Proceedings of the SPIE, Volume 7971, 797119 (2011).

Bunday, B. “Metrology for Beyond the 22 nm Node”. Invited keynote presentation given at Semicon Korea, Metrology & Inspection Forum, January 27, 2011.

Benjamin Bunday, Chris Deeb, Milton Godwin, Mark Johnson, Dilip Patel, & Victor Vartanian. “Introduction to Metrology Short Course”. >300 pages of detailed course notes for one-day class taught at ISMI Symposia in 2007, 2008, 2009 and 2010, including the basics of technologies and methods for CD, overlay, films and defect metrology.

Bunday, B., et al. "Unified Advanced Critical Dimension Scanning Electron Microscope (CD-SEM) Specification for sub-65 nm Technology (2010 Version)", Dec 2010. ISMI TTID: 04114595G-ENG. Publicly available at http://www.sematech.org. Living document annually edited since 2001.

Benjamin Bunday, Amir Azordegan, Bill Banke, Chas Archie, Eric Solecky, Kye-Weon Kim, John Allgair, Richard Silver. "Unified Advanced Optical Critical Dimension (OCD) Scatterometry Specification for sub-65 nm Technology (2009 version)". ISMI TTID: 04114596F-ENG, Jan 2010. Publicly available at http://www.sematech.org. Living document annually edited since 2004.

The International Technology Roadmap for Semiconductors (ITRS, San Jose: Semiconductor Industry Association, 2006-2014); available from Internet: http://member.itrs.net. Bunday wrote key items in the metrology section and was the key editor of the main lithometrology specification roadmap table. Bunday was also the main author of key changes to the text of this document, including the new definition for metrology uncertainty. Bunday also is the chief editor of the industry-guiding MET3 CD metrology capability table, where he makes necessary row additions to keep it pertinent and controls the cell colors based on results of SEMATECH activities and other information.

Bunday, B., Cordes, A., Allgair, J., Aguilar, D., Tileli, V., Thiel, B., Avitan, Y., Peltinov, R., Bar-Zvi, M., Adan, O., & Chirko, K. “Electron-beam induced photoresist shrinkage influence on 2D profiles”. Metrology, Inspection, and Process Control for Microlithography XXIV. J. Proceedings of the SPIE, Volume 7638, pp. 76381L-76381L-21 (2010).

Bunday, B., Lipscomb, P., Koshihara, S., Sukegawa, S., Kawai, Y.,Ojima, Y., Self, A., & Page, L. “CD-SEM utility with double patterning”. Metrology, Inspection, and Process Control for Microlithography XXIV. J. Proceedings of the SPIE, Volume 7638, pp. 76382U-76382U-12 (2010).

Brill, B., Gov, S., Hak, D., Sorin, V., Marcu, T., & Bunday, B. “LER detection using dark field spectroscopic reflectometry”. Metrology, Inspection, and Process Control for Microlithography XXIV. J. Proceedings of the SPIE, Volume 7638, pp. 76380P76380P-10 (2010).

Postek, M., Vladar, A., Keery, W., Bishop, M., Bunday, B., & Allgair, J. “Reference material (RM) 8820: a versatile new NIST standard for nanometrology”. Metrology, Inspection, and Process Control for Microlithography XXIV. J. Proceedings of the SPIE, Volume 7638, pp. 76381B-76381B-11 (2010).

Silver, R., Zhang, N., Barnes, B., Zhou, H., Heckert, A., Dixson, R., Germer, T., and Bunday, B. “Improving Optical Measurement Accuracy using Multi-Technique Nested Uncertainties”. Metrology, Inspection, and Process Control for Microlithography XXIII. Proceedings of the SPIE, Volume 7272 (2009), pp. 727202-1-14 (2009). Keynote Paper.

Zhuang, G., Spielman, S., Fielden, J., Wack, D., Poslavsky, L., and Bunday, B. “Dark-Field Optical Scatterometry for Line Width Roughness Metrology”. Metrology, Inspection, and Process Control for Microlithography XXIII. Proceedings of the SPIE, Volume 7272 (2009), pp. 72720L-1-12 (2009).

Germer, T., Patrick, H., Silver, R., and Bunday, B. “Developing an Uncertainty Analysis for Optical Scatterometry”. Metrology, Inspection, and Process Control for Microlithography XXIII. Proceedings of the SPIE, Volume 7272 (2009), pp. 72720T-1-11 (2009).

Bunday, B. and Kramer, U. “CD-SEM Parameter Influence on Image Resolution and Measurement Accuracy”. Metrology, Inspection, and Process Control for Microlithography XXIII. Proceedings of the SPIE, Volume 7272 (2009), pp. 727204-1-12 (2009).

Bunday, B., Cordes, A., Allgair, J., Tileli, V., Avitan, Y., Peltinov, R., Bar-zvi, M., Adan, O., Cottrell, E., and Hand, S. “Phenomenology of electron-beam-induced photoresist shrinkage trends”. Proc. SPIE, Vol. 7272, 72721B-1-15 (2009). Winner of 2009 Diana Nyyssonen Award.

Solecky, E., Archie, C., Sendelbach, M., Fiege, R., Zaitz, M., Shneyder, D., Strocchia-Rivera, C., Munoz, A., Rangarajan, S., Muth, W., Brendler, A., Banke, B., Schulz, B., Hartig, C., Hoeft, J., Vaid, A., Kelling, M., Bunday, B., and Allgair, J. “Monitoring Measurement Tools: New Methods for Driving Continuous Improvements in Fleet Measurement Uncertainty”. Metrology, Inspection, and Process Control for Microlithography XXIII. Proceedings of the SPIE, Volume 7272, pp. 72721H-1-23 (2009).

Wang, C., Choi, K., Chen, Y., Price, J., Ho, D., Jones, R., Soles, C., Lin, E., Wu, Wen-li, and Bunday, B. “Nonplanar High-k Dielectric Thickness Measurements Using CD-SAXS”. Metrology, Inspection, and Process Control for Microlithography XXIII. Proceedings of the SPIE, Volume 7272 (2009), pp. 72722M-1-8 (2009).

Orji, N. G., Dixson, R., Cordes, A., Bunday, B., and Allgair, J. “Measurement Traceability and Quality Assurance in a Nanomanufacturing Environment”. Instrumentation, Metrology, and Standards for Nanomanufacturing III. Proceedings of the SPIE, Volume 7405 (2009), pp. 740505-1-10 (2009). 77. SEMI P47-0307 Test Method for Evaluation of Line-Edge Roughness and Linewidth Roughness. March 2007. Bunday was a key author.

Orji, N.G.; Bunday, B.D.; Dixson, R.G.; Allgair, J.A. "A Systematic Approach to Accurate Evaluation of CD-Metrology Tools". Microelectronic Test Structures, 2007 (ICMTS '07). IEEE International Conference, 19-22 March 2007, Pp. 9-13.

Orji, G., Dixson, R., Martinez, A., Bunday, B., Allgair, J., and Vorburger, T. "Progress on Implementation of a Reference Measurement System Based on a Critical-Dimension Atomic Force Microscope," J. Micro/Nanolith. MEMS MOEMS, 6(2), 023002, Apr–Jun, 2007.

Wang, Chengqing; Jones, Ronald L.; Lin, Eric K.; Wu, Wen-li; Villarrubia, John S.; Choi, Kwang-Woo; Clarke, James S.; Rice, Bryan J.; Leeson, Michael; Roberts, Jeanette; Bristol, Robert; Bunday, Benjamin. "Line edge roughness characterization of sub50nm structures using CD-SAXS: round-robin benchmark results". Proceedings of the SPIE, Volume 6518, pp. 65181O (2007).

Bunday, B., Cordes, A., Orji, N.G., Piscani, E., Cochran, D., Byers, J., Allgair, J., Rice, B. J., Byers, J., Avitan, Y., Peltinov, R., Bar-Zvi, M., & Adan, O. “Characterization of CD-SEM Metrology for iArF Photoresist Materials,” Proc SPIE, v6922, chapter 1A, March, 2008.

Bunday, B., Rijpers, B., Banke, W., Archie, C., Peterson, I., Ukraintsev, V., Hingst, T., and Asano, M. "Impact of Sampling on Uncertainty: Semiconductor Dimensional Metrology Applications," Proc. SPIE 6922, 6922-0X, pp 0X-1 to 0X-22, March, 2008.

Michael Kotelyanskii, Fei Shen, Gary Jiang, Benjamin Bunday. “Characterization of Sub-50 nm Line Array Structures with Angle Resolved Multiple Wavelength Scatterometry”. Proc. SPIE, Vol. 6922, 69223N (Mar 2008).

Wang, Chengqing; Choi, Kwang-Woo; Fu, Wei-En; Ho, Derek L.; Jones, Ronald L.; Soles, Christopher; Lin, Eric K.; Wu, Wen-Li; Clarke, James S.; Bunday, Benjamin. "CD-SAXS measurements using laboratory-based and synchrotron-based instruments". J. Proceedings of SPIE, Volume 6922, pp. 69222E-69222E-7 (Mar 2008).

Chengqing Wang, Kwang-Woo Choi, Ronald L. Jones, Christopher Soles, Eric K. Lin, Wen-li Wu , James S. Clarke, John S. Villarrubia, Benjamin Bunday. "Line width roughness and cross sectional measurements of sub-50 nm structures with CD-SAXS and CD-SEM". J. Proceedings of the SPIE, Volume 6922, pp. 69221Z-69221Z-8 (Mar 2008).

Ndubuisi G. Orji, Ronald G. Dixson, Benjamin D. Bunday & John A. Allgair. "Toward accurate feature shape metrology". Proc. SPIE, Vol. 6922, 692208 (Mar 2008).

Dziura, Thaddeus G.; Bunday, Benjamin; Smith, Casey; Hussain, Muhammad M.; Harris, Rusty; Zhang, Xiafang; Price, Jimmy. "Measurement of high-k and metal film thickness on FinFET sidewalls using scatterometry". J. Proceedings of the SPIE, Volume 6922, pp. 69220V-69220V-8 (Mar 2008).

Chengqing Wang; Kwang-Woo Choi; Wei-En Fu; Jones, R.L.; Ho, D.L.; Soles, C.; Lin, E.K.; Wen-li Wu; Clarke, J.S.; Villarrubia, J.S.; Bunday, B. "Linewidth Roughness and Cross-sectional Measurements of Sub-50 nm Structures Using CD-SAXS and CDSEM". Advanced Semiconductor Manufacturing Conference (ASMC), 2008. IEEE/SEMI, 5-7 May 2008, Pp. 142-147.

Benjamin Bunday, et al. "Metrology Readiness for the 45-32nm Nodes, SEMICON West TechXPOTS", July, 2008. Invited presentation. Can be found on web at: http://www.semi.org/cms/groups/public/documents/web_content/ctr_024393.pdf

John Allgair, Pete Lipscomb, Mike Bishop, Benjamin Bunday, Doron Arazi, Kye-Weon Kim, Milt Godwin. "Reference metrology and standards: staying ahead of the ITRS". Solid State Technology, October 2008. Can be found on web at: http://www.solid-state.com/display_article/340772/5/none/none/Feat/Reference-metrology-and-standards:-staying-ahead-of-the-ITRS

Benjamin Bunday, John Allgair, Jason Cain, Eric Solecky, Bryan J. Rice, & Mark Caldwell. "Value Added Metrology Debunking the NVA Myth". Future Fab International, Issue 27, Oct 2008, pp 97-105. Available online at: http://www.futurefab.com/toc.asp

John Allgair, Benjamin Bunday, Aaron Cordes, Pete Lipscomb, Milt Godwin, Victor Vartanian, Michael Bishop, Doron Arazi & Kye-Weon Kim. “In-Line Metrology for the 45 nm and 32 nm Nodes”. Proceedings of ISSM2008, October 2008.

Benjamin Bunday, Aaron Cordes, John Allgair, Emil Piscani, Bryan Rice, Yohanan Avitan, Ram Peltinov, Ofer Adan. “Phenomenology of ArF Photoresist Shrinkage Trends”. Proceedings of ISSM2008, October 2008. 94. Benjamin Bunday, Aaron Cordes, Pete Lipscomb, Milt Godwin, Victor Vartanian, Michael Bishop, John Allgair, Doron Arazi & Kye-Weon Kim. “Metrology Equipment for the 45–32 nm Node”. Semiconductor Fabtech, December 2008, Edition 38.

Silver, R., Germer, T., Attota, R., Barnes, B., & Bunday, B. “Fundamental Limits of Optical Critical Dimension Metrology: A Simulation Study”. Proceedings of SPIE 2007, v6518, chapter OU.

Orji, N.G., Dixson, R., Garcia-Gutierez, D., Bunday, B., Bishop, M., Cresswell, M., Allen, R., & Allgair, J. “TEM Calibration Methods for Critical Dimension Standards”. Proc SPIE 2007, v 6518, chapter 10.

Bunday, B., Lipscomb, P., Allgair, J., Patel, D., Caldwell, M., Solecky, E., Archie, C., Morningstar, J., Rice, B.J., Singh, B., Cain, J., Emami, I., Banke, B., Herrera, A., Ukraintsev, V., Schlessinger, J., & Ritchison, J. “Realizing Value-Added Metrology”. Proc SPIE 2007, v6518, chapter 1K. Invited paper.

Wang, C., Jones, R., Lin, E., Wu, W., Villarrubia, J., Choi, K., Clarke, J., Rice, B.J., Leeson, M., Roberts, J., Bristol, R., & Bunday, B. “Line Edge Roughness Characterization of Sub-50nm Structures Using CD-SAXS: Round robin Benchmark Results”. Proc SPIE 2007, v6518, chapter 1O.

Bunday, B., Allgair, J., Solecky, E., Orji, N.G., Beach, J., Adan, O., Peltinov, R., Bar-Zvi, M., & Swyers, J. “The Coming of Age of Tilt CD-SEM”. Proc. SPIE 2007, v6518, chapter 1S.

Bunday, B., Allgair, J., Rice, B.J., Byers, J., Avitan, Y., Peltinov, R., Bar-Zvi, M., Adan, O., Swyers, J., & Shneck, R. “SEM Metrology for Advanced Lithographies”. Proc SPIE 2007, v6518, chapter 2B.

Bunday, B., Allgair, J., Yang, K., Koshihara, S., Morokuma, H., Danilevsky, A., Parker, C., & Page, L. “Major Trends in Extending CD-SEM Utility”. Proc SPIE 2007, v6518, chapter 35.

Bunday, B., Allgair, J., Rice, B.J., Solecky, E., Archie, C., Caldwell, M., Singh, B., Cain, J., & Emami, I. “Value-Added Metrology”. IEEE Transactions on Semiconductor Manufacturing, v20, No. 3, August, 2007. Invited paper.

Orji, N.G., Dixson, R., Martinez, A., Bunday, B., Allgair, J., and Vorburger, T. “Progress on implementation of a reference measurement system based on a critical-dimension atomic force microscope”. J. Micro/Nanolith. MEMS MOEMS 6(2), 1 (Apr-Jun 2007).

Allgair, J., Bunday, B., Bishop, M., Lipscomb, P., & Orji, G. “Litho Metrology Challenges for Beyond the 45nm Technology Node”. White paper. Fabtech, Edition 29, 01 March 2006. See online at http:// www.fabtech.org/ white_papers/_a/litho_metrology_challenges_for_the_45nm_technology_node_and_beyond/

Allgair, J., Bunday, B., Bishop, M., Lipscomb, P., & Orji, G. "Litho Metrology Challenges for the 45nm Technology Node and Beyond". Proceedings of SPIE Metrology, v6152, Chapter 0-C (2006)

Bunday, B., Allgair, J., Lipscomb, P., Yang, K., Koshihara, S., Morokuma, H., Page, L., & Danilevsky, A. “Automated CD-SEM Recipe Creation: A New Paradigm in CD-SEM Utilization.” Proceedings of SPIE Metrology, v6152, Chapter 1-B, 2006. February, 2006.

Bunday, B., Allgair, J., Adan, O., Tam, A., Latinski, S., & Eytan, G. “Small Feature Accuracy Challenge for CD-SEM Metrology: Physical Model Solution”. Proceedings of the SPIE Metrology, v6152, Chapter 0-S, 2006. April, 2006.

Lipscomb, W., Allgair, J., Bunday, B., Bishop, M., Silver, R., Attota, R., & Stocker, M. "Calibrating Optical Overlay Measurements". Proceedings of SPIE Metrology, v6152, Chapter 1-1, 2006. April, 2006.

Katz, R., Chase, C., Kris, R., Peltinov, R., Villarrubia, J., & Bunday, B. "Bias Reduction in Roughness Measurement through SEM Noise Removal". Proceedings of SPIE Metrology, v6152, Chapter 4-L, 2006.

Bunday, B., Allgair, J., & Diebold, A. "Introduction to Semiconductor Metrology and Interconnect Metrology Challenges". Invited presentation given to Novellus/Fudan University Interconnect Symposium, Shanghai, China, May, 2006.

Bunday, B. "CD & Overlay Metrology Challenges for Beyond the 65nm Technology Node". Invited presentation given at Semicon West TechXPOT, July, 2006. 112. Allgair, J., & Bunday, B. "The Future of CD Metrology". Proceedings of ISSM 2006. Paper PC-176, pp 91-94. September 2006.

Bunday, B., Allgair, J., Caldwell, M., Archie, C., Solecky, E., Rice, B., Singh, B., & Emami, I. "The ROI of Metrology". Proceedings of ISSM 2006. Paper PE-188, pp 387-390. Paper awarded "Best Paper at ISSM 2006" award. Also published internally, TTID: 29084, September 2006. Has lead to future invited papers in peer-reviewed IEEE Transactions in summer 2007 and at SPIE Advanced Lithography in March, 2007. Presentation also given at 2006 ISMI Symposium and ISMI Yield Council, October, 2006. ISMI Symposium performance attracted very positive comments on Micro Magazine website.

Bunday, B, & Allgair, J. "The Future of CD Metrology", December, 2006. Keynote presentation given at Hitachi CTE technical Seminar, December 6, 2006 in Makuhari, Japan.

Bunday, B. "CD-SEM Measurement of Roughness". Invited presentation given at the SEMI-sponsored STEP LER/LWR Measurement Workshop at Semicon Japan, December 7, 2006.

Allgair, J., & Bunday, B. "Extendibility of CD Metrology". October, 2006. Given at ISMI Austin Technical Seminar. Similar presentation also given at ISMI Technical Seminar, Tokyo, September 2006.

Bunday, B., Michelson, D., Allgair, J., Tam, A., Chase-Colin, D., Dajczman, A., Adan, O., and Har-Zvi, M. “CD SEM Metrology Macro CD Technology—Beyond the Average”. Procedings of SPIE 2005, v5752, pp 111-126.

Villarrubia, J. and Bunday, B. “Unbiased Estimation of Linewidth Roughness”. Procedings of SPIE 2005, v5752, pp 480-488. Winner of 2005 Diana Nyyssonen Award.

Bunday, B., Peterson, A., and Allgair, J. “Specifications, Methodologies and Results of Evaluation of Optical Critical Dimension Scatterometer Tools at the 90nm CMOS Technology Node and Beyond”. Proceedings of SPIE 2005, v5752, pp 304-323.

Bunday, B., Sorkhabi, O., Wen, Y., Paranjpe, A., Terbeek, P., Allgair, J., and Peterson, A. “Improvement in Total Measurement Uncertainty for Gate CD Control”. Procedings of SPIE 2005, v5878, pp 0M-1 to 0M-12.

Allgair, J. and Bunday, B. “A Review of Scatterometry for Three-Dimensional Semiconductor Feature Analysis”. Future Fab International, v19, pp 125-128.

Bunday, B., Godwin, M., Patel, D., Bishop, M., Allgair, J., and Diebold, A. “Meeting Manufacturing Metrology Challenges at 90 nm and Beyond”. MICRO, v23, no 7 (Aug 2005), pp 31-41. http://www.micromagazine.com/archive/05/08/bunday.html

P.Y. Hung , Carolyn Gondran, Amiya Ghatak-Roy, Shinichi Terada, Ben Bunday, Henry Yeung & Alain Diebold, “XRR and XRF monitoring of the atomic layer deposition (ALD) process for high-k gate dielectrics.”, J. Vacuum Sci & Tech B , 23 (2005):2244

Bunday, B. and Adan, O. "Achieving statistical validity in CD-SEM imaging". Solid State Technology, v49; No. 1, pages 51-54, Jan 2006.

Benjamin Bunday & Karen Turnquest. "Line Edge Roughness (LER) Importance and Measurement for Process Control." SEMATECH position paper, TTID: 05054652A-ENG, June 2005.

Bunday, B., McCormack, D., Bishop, M., Villarrubia, J., Vladar, A., Dixson, R., Vorburger, T., Orji, N, and Allgair, J. “Determination of Optimal Parameters for CD-SEM Measurement of Line Edge Roughness”. Proceedings of the SPIE: Metrology, Inspection, and Process Control for Microlithography XVIII (2004), 515-533.

Bunday, B., Allgair, J., and Bishop, M. "Results of Benchmarking of Advanced Critical Dimension Scanning Electron Microscopes (CD-SEMs) at the 90 nm CMOS Technology Node". Proceedings of the SPIE: Metrology, Inspection, and Process Control for Microlithography XVIII (2004), 151-172.

Villarrubia, J., Vladar, A., Bunday, B., and Bishop, M. “Dimensional Metrology of Resist Lines using a SEM Model-Based Library Approach”. Proceedings of the SPIE: Metrology, Inspection, and Process Control for Microlithography XVIII (2004), 199-209.

Bunday, B. and Bishop, M. “Specifications and Methodologies for Benchmarking of Advanced CD-SEMs at the 90nm CMOS Technology Node and Beyond.” Metrology, Inspection, and Process Control for Microlithography XVII. J. Proceedings of the SPIE, Volume 5038, pp. 1038-1052 (2003). 130. Bunday, B., Bishop, M., Villarrubia, J. and Vladár, A. “CD-SEM Measurement Line Edge Roughness Test Patterns for 193nm Lithography.” Metrology, Inspection, and Process Control for Microlithography XVII. J. Proceedings of the SPIE, Volume 5038, pp. 674-688 (2003).

Bunday, B., Bishop, M., and Swyers, J. “Quantitative Profile-Shape Measurement Study on a CD-SEM with Application to EtchBias Control and Several Different CMOS Features.” Metrology, Inspection, and Process Control for Microlithography XVII. J. Proceedings of the SPIE, Volume 5038, pp. 383-395 (2003).

Dixson, R., Guerry, A., Bennett, M., Vorburger, T., and Bunday, B. “Implementation of a Reference Measurement System using CD-AFM.” Metrology, Inspection, and Process Control for Microlithography XVII. J. Proceedings of the SPIE, Volume 5038, pp. 150-165 (2003).

Starikov, A. Allgair, A., Boksha, V., Bunday, B., Diebold, A., Cole, D., Gurnell, A., Joy, D., McIntosh, J., Pellegrini, J., Larrabee, R., Pitzick, J., Vladar, A., Smith, N. and Sullivan, N. “Applications of image diagnostics to metrology quality assurance and process control.” Design and Process Integration for Microelectronic Manufacturing. J. Proceedings of the SPIE, Volume 5042, pp. 251-277 (2003).

Sullivan, N., Mastovich, M., Dixson, R., Knutrud, P., Bunday, B., Fabre, P., and Brandom, R. “Electron Beam Metrology of 193nm Resists at Ultra-Low Voltage.” Metrology, Inspection, and Process Control for Microlithography XVII. J. Proceedings of the SPIE, Volume 5038, pp. 483-492 (2003).

Bunday, B., Bishop, M., Bennett, M., Swyers, J., and Haberman-Golan, Z. “Quantitative Profile-Shape Measurement Study on a CD-SEM with Application to Etch Bias Control.” Proceedings of the SPIE: Metrology, Inspection, and Process Control for Microlithography XVI: 4689 (2002), 138-150.

Sullivan, N., Mastovich, M., Dixson, R., Knutrud, P., Bunday, B., Fabre, P., and Brandom, R. “Characterizing CDSEM Metrology of 193nm Resists at Ultra Low Voltage.” Proceedings from ARCH Interface ‘02, San Diego, CA, 2002.

Bunday, B. and Bishop, M. “Benchmarking of Advanced CD-SEMs at the 130nm CMOS Technology Node.” Proceedings of the SPIE: Metrology, Inspection, and Process Control for Microlithography XVI: 4689 (2002), 102-115.

Bunday, B. and Davidson, M. “Use of Fast Fourier Transform Methods in Maintaining Stability of Production CD-SEMs.” Proceedings of the SPIE: Metrology, Inspection, and Process Control for Microlithography XIV: 3998 (2000), 913-922.

Yota, J., Hsia, S., Talor, G., Bunday, B., Nguyen, C., Trespando, A. and Siriwatwechakul, M. “Integration of PECVD SiON as Anti-Reflective Coating for DUV Lithography of Metal Layers for Sub-0.25mm CMOS Technology”. Proceedings from the Advanced Metalization Conference, Colorado Springs, CO, 1998.

Lai, K., Kassab, B., Bunday, B., Liu, Z. and Samarakone, N. “The Role of Resist Chemistry in Extending 248nm Lithography Below 0.25 Micron”. Proceedings from Interface ’97, San Diego, CA, 1997.